买芯片网
XILINX(AMD),ALTERA(INTEL),LATTICE
聚焦三大FPGA芯片品牌,强大的现货交付能力
Xilinx,Altera,Lattice
买芯片网代理Altera(英特尔)、Lattice(莱迪思)、Xilinx(赛灵思 AMD)
首页 >> Xilinx新闻 >> 简述Xilinx FPGA管脚物理约束解析
简述Xilinx FPGA管脚物理约束解析

引言:本文我们简单介绍下XilinxFPGA管脚物理约束,包括位置(管脚)约束和电气约束。

买芯片网专注整合全球优质赛灵思代理商现货资源,是国内领先的Altera(英特尔)、Lattice(莱迪思)、Xilinx(赛灵思 AMD)芯片采购服务平台,买芯片网轻松满足您的芯片采购需求.

管脚位置约束: set_property PAKAGE_PIN “管脚编号” [get_ports “端口名称”]

管脚电平约束: set_propertyIOSTANDARD “电压” [get_ports “端口名称”]

举例:

set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]

set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]

set_property PACKAGE_PIN U18 [get_ports sys_clk]

set_property PACKAGE_PIN M14 [get_ports {led[0]}

]set_property PACKAGE_PIN M15 [get_ports {led[1]}]

注意:

1)以上语法对大小写敏感;

2)端口名称为数组时,需要用{}括起来,端口名不能为关键字。

2. 差分信号约束

2.1 普通差分约束

差分信号约束语法和1节中相同。此处仅举例。

1)HR I/O Bank,VCCO = 3.3V,HDMI接口约束

set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]

set_property PACKAGE_PIN V20 [get_ports {TMDS_data_p[0]}]

set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_p]

set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_p[0]}]

2)HP I/O Bank,VCCO = 1.8V,HDMI接口约束

set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]

set_property PACKAGE_PIN V20 [get_ports {TMDS_data_p[0]}

]set_property IOSTANDARD LVDS [get_ports TMDS_clk_p]

set_property IOSTANDARD LVDS [get_ports {TMDS_data_p[0]}]

注意:

1)差分信号约束,只约束P管脚即可,系统自动匹配N管脚约束,当然_P和_N管脚都约束也没有问题;

2)差分信号电平要根据VCCO Bank电压进行相应的约束。

2.2收发器差分信号约束

1)收发器MGTREFCLK时钟约束管脚位置约束:

set_property LOC “管脚编号” [get_ports “端口名称”]

举例:

set_property LOC G7 [get_ports Q2_CLK0_GTREFCLK_PAD_N_IN ]

set_property LOC G8 [get_ports Q2_CLK0_GTREFCLK_PAD_P_IN ]

2)收发器MGT通道约束

对于GTXE2_CHANNEL通道约束:一种方法是可以利用7系列FPGAs收发器向导,在配置好收发器配置参数后,自动生成XDC模板,然后将该模板应用到自己的设计中;第二种方法是自己编写XDC约束文件,其位约束位置要参照具体原理图信号管脚来进行编写约束文件。举例:对于图1中四通道收发器对GTXE2_CHANNEL约束。

171a04da-a67f-11eb-aece-12bb97331649.jpg

图1、四通道GTX收发器框图

收发器通道位置约束:

set_property LOC “ GTXE2_CHANNEL_X* Y * ” [get_cells “gtxe_2例化路径”]

举例:

1749efe2-a67f-11eb-aece-12bb97331649.jpg

图2、收发器通道位置约束

注意:gtxe_2例化路径参照图3所示,路径名称依据具体工程实现进行修改。

17712f8a-a67f-11eb-aece-12bb97331649.jpg

图3、gtxe_2例化路径参照图


 

Xilinx中国海量优质的信息资源、行业资讯、最新开发方案等资讯信息平台。

Xilinx芯片今日搜索排行榜(2024年5月2日)
HW-USB-G
编程器,仿真器和调试器
类型:编程器(在线/在系统)
XCR3256XL-10PQG208C
嵌入式 - CPLD(复杂可编程逻辑器件)
产品封装:208-BFQFP
XA7S50-1FGGA484Q
嵌入式 - FPGA(现场可编程门阵列)
产品封装:484-BGA
HW-USB-FLYLEADS-G
开发板编程器配件
配件类型:缆线组件
XCZU9EG-3FFVB1156E
嵌入式 - 片上系统(SoC)
产品封装:1156-BBGA,FCBGA
XC9536-10VQ44I
嵌入式 - CPLD(复杂可编程逻辑器件)
产品封装:44-TQFP
XCR3064XL-10CSG48C
嵌入式 - CPLD(复杂可编程逻辑器件)
产品封装:48-FBGA,CSPBGA
XC95108-15TQ100C
嵌入式 - CPLD(复杂可编程逻辑器件)
产品封装:100-LQFP
Xilinx产品及其应用
Xilinx公司新闻
买芯片网轻松满足您的芯片采购需求
买芯片网,独家代理渠道,专注三大品牌:XILINX(赛灵思 AMD)ALTERA(英特尔 INTEL)LATTICE(莱迪思)